Skip Navigation
my turning has improved a bit recently

And toolmaking, the gouge was hand-fashioned from some vanadium steel.

5
daal, naan and spicy chicken

All homemade from scratch (:

chicken: https://www.thinkingtasty.com/2020/09/masala-fried-chicken-mild.html

naan: https://www.thinkingtasty.com/2020/09/garlic-naan-bread.html

daal: https://www.bol.com/nl/nl/p/the-curry-guy-veggie/9200000123445792/

0
daal, naan and spicy chicken

All homemade from scratch (:

chicken: https://www.thinkingtasty.com/2020/09/masala-fried-chicken-mild.html

naan: https://www.thinkingtasty.com/2020/09/garlic-naan-bread.html

daal: https://www.bol.com/nl/nl/p/the-curry-guy-veggie/9200000123445792/

9
Gowin FPGA synthesis stuck on 95%

I am making a graphics card on the tang nano 20k board. I tried synthesising the design in the official IDE and it output:

[95%] Generate netlist file "/home/original2/Downloads/Gowin_V1.9.8.09_Education_linux/IDE/bin/VGA_out/impl/gwsynthesis/VGA_out.vg" completed

with no further updates for 40 minutes so far. I am on a 16 core system with 24GB of ram (the process is maxing out 1 core right now as well as using 6GB RAM). Why is it not progressing..

I do have a 60 by 80 array with 11 bit numbers in it if that is relevant

0
InitialsDiceBearhttps://github.com/dicebear/dicebearhttps://creativecommons.org/publicdomain/zero/1.0/„Initials” (https://github.com/dicebear/dicebear) by „DiceBear”, licensed under „CC0 1.0” (https://creativecommons.org/publicdomain/zero/1.0/)OR
original2 @lemmy.world
Posts 50
Comments 155